Télécharger la liste

Description du projet

SVEditor is an Eclipse-based editor for
SystemVerilog files. It provides cross-linking, content assist, source indent, and class hierarchy browsing.

Système requise

System requirement is not defined
Information regarding Project Releases and Project Resources. Note that the information here is a quote from Freecode.com page, and the downloads themselves may not be hosted on OSDN.

2010-04-18 18:16
0.1.8

Auto-indentation a été améliorée en le rendant adaptative. Maintenant, l'auto-indentation suit le retrait en cours d'utilisation dans le fichier. assistance contenu est maintenant au courant des paramètres de classe. Bugs ont été corrigés.
Auto-indenting was improved by making it adaptive. Now auto-indenting follows the indentation currently in use in the file. Content assistance is now aware of class parameters. Bugs were fixed.

2010-02-22 13:28
0.1.3

Cette version comporte des corrections de bogues et quelques petites améliorations. La manière dont les fichiers sont associés à un indice de projet a été rendu plus flexible. Content Assist a été amélioré dans le domaine de covergroups et coverpoints, les appels de constructeur, et l'importation package.
This release features bugfixes and a few small improvements. The way in which files are associated with a project index has been made more flexible. Content assist has been improved in the area of covergroups and coverpoints, constructor calls, and package import.

2010-02-09 01:36
0.1.1

Cette version de SVEditor ajoute le support pour la source de l'indentation et un meilleur support pour l'auto-indentation. Auto-tiret est maintenant activé par défaut, et peuvent être désactivés via la page de préférences SVEditor.
This version of SVEditor adds support for source indenting and better support for auto-indent. Auto-indent is now enabled by default, and can be disabled via the SVEditor preferences page.

2010-02-01 03:01
0.1.0

Ceci est principalement une version corrige des bogues. Plusieurs questions dont le contenu aider ont été abordés, notamment l'ajout de support pour les types ENUM et l'amélioration du contenu à assistance détection des séquences. Mise à jour de l'éclipse solaire du site a été ajouté pour faciliter l'installation et la mise à jour SVEditor beaucoup plus facile.
This is primarily a bugfix release. Several issues with content assist have been addressed, including adding support for enum types and improving content-assist string matching. An Eclipse Update Site has been added to make installing and updating SVEditor much easier.

2010-01-17 08:58
0.0.9

De puissantes fonctionnalités nouvelles ont été introduites, notamment la mise en cache persistant de l'information analysée à partir SystemVerilog fichiers, ce qui réduit considérablement les temps de démarrage, les stratégies d'indexation nouveautés qui améliorent la précision de l'analyse de SystemVerilog, et mis à jour et plus de contenu puissant aider et réticulation. A "Getting Started" guide est disponible sur le site de téléchargement SVEditor.
Powerful new features were introduced, including persistent caching of information parsed from SystemVerilog files, which dramatically reduces startup times; new indexing strategies that improve the accuracy of SystemVerilog parsing; and updated and more-powerful content assist and cross-linking. A "Getting Started" guide is available from the SVEditor download site.

Project Resources